Blame nextpnr.spec

Gabriel Somlo 7cfeb80
%global commit 85f4452b0a3bd47ccb25be023859542ffef888f7
Gabriel L. Somlo f83f097
%global shortcommit %(c=%{commit}; echo ${c:0:7})
Gabriel L. Somlo f83f097
Gabriel Somlo 7cfeb80
%global snapdate 20200129
Gabriel L. Somlo 8326acd
Gabriel L. Somlo f83f097
Name:		nextpnr
Gabriel L. Somlo f83f097
Version:	0
Gabriel Somlo 7cfeb80
Release:	0.9.%{snapdate}git%{shortcommit}%{?dist}
Gabriel L. Somlo f83f097
Summary:	FPGA place and route tool
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
License:	ISC and BSD and MIT and (MIT or Public Domain)
Gabriel L. Somlo f83f097
URL:		https://github.com/YosysHQ/nextpnr
Gabriel L. Somlo f83f097
Source0:	https://github.com/YosysHQ/nextpnr/archive/%{commit}/%{name}-%{shortcommit}.tar.gz
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
BuildRequires:	cmake
Gabriel L. Somlo f83f097
BuildRequires:	gcc-c++
Gabriel L. Somlo f83f097
BuildRequires:	make
Gabriel L. Somlo f83f097
BuildRequires:	python3-devel
Gabriel L. Somlo f83f097
BuildRequires:	libglvnd-devel
Gabriel L. Somlo f83f097
BuildRequires:	boost-filesystem
Gabriel L. Somlo f83f097
BuildRequires:	boost-thread
Gabriel L. Somlo f83f097
BuildRequires:	boost-program-options
Gabriel L. Somlo f83f097
BuildRequires:	boost-iostreams
Gabriel L. Somlo f83f097
BuildRequires:	qt5-qtconfiguration-devel
Gabriel L. Somlo f83f097
BuildRequires:	cmake(QtConfiguration)
Gabriel L. Somlo f83f097
BuildRequires:	boost-python3-devel
Gabriel L. Somlo f83f097
BuildRequires:	icestorm >= 0-0.7.20190311
Gabriel L. Somlo f83f097
BuildRequires:	trellis-devel
Gabriel L. Somlo f83f097
BuildRequires:	eigen3-devel
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
# License: ISC
Gabriel L. Somlo f83f097
Provides:	bundled(qtimgui)
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
# Qt5 enabled fork of QtPropertyBrowser
Gabriel L. Somlo f83f097
# License: BSD
Gabriel L. Somlo f83f097
Provides:	bundled(QtPropertyBrowser)
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
# License: MIT
Gabriel L. Somlo f83f097
Provides:	bundled(python-console)
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
# License: (MIT or Public Domain)
Gabriel L. Somlo f83f097
Provides:	bundled(imgui) = 1.66-wip
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%description
Gabriel L. Somlo f83f097
nextpnr aims to be a vendor neutral, timing driven, FOSS FPGA place and
Gabriel L. Somlo f83f097
route tool.
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%prep
Gabriel L. Somlo f83f097
%autosetup -n %{name}-%{commit}
Gabriel L. Somlo f83f097
cp 3rdparty/imgui/LICENSE.txt LICENSE-imgui.txt
Gabriel L. Somlo f83f097
cp 3rdparty/qtimgui/LICENSE LICENSE-qtimgui.txt
Gabriel L. Somlo f83f097
cp 3rdparty/python-console/LICENSE LICENSE-python-console.txt
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%build
Gabriel L. Somlo f83f097
%cmake . -DARCH=all \
Gabriel L. Somlo f83f097
	-DICEBOX_ROOT=%{_datadir}/icestorm \
Gabriel L. Somlo f83f097
	-DTRELLIS_ROOT=%{_datadir}/trellis
Gabriel L. Somlo 1787b6a
%make_build
Gabriel L. Somlo f83f097
# prepare examples doc. directory:
Gabriel Somlo 7cfeb80
mkdir -p examples/ice40
Gabriel L. Somlo f83f097
cp -r ice40/examples/* examples/ice40
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%install
Gabriel L. Somlo f83f097
%make_install
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%files
Gabriel L. Somlo f83f097
%{_bindir}/nextpnr-generic
Gabriel L. Somlo f83f097
%{_bindir}/nextpnr-ice40
Gabriel L. Somlo f83f097
%{_bindir}/nextpnr-ecp5
Gabriel L. Somlo f83f097
%doc README.md docs examples
Gabriel L. Somlo f83f097
%license COPYING
Gabriel L. Somlo f83f097
%license LICENSE-imgui.txt
Gabriel L. Somlo f83f097
%license LICENSE-qtimgui.txt
Gabriel L. Somlo f83f097
%license LICENSE-python-console.txt
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
%changelog
Gabriel Somlo 7cfeb80
* Wed Jan 29 2020 Gabriel Somlo <gsomlo@gmail.com> - 0-0.9.20200129git85f4452
Gabriel Somlo 7cfeb80
- Update to newer snapshot.
Gabriel Somlo 7cfeb80
- Fix Python 3.9 build (BZ #1795549).
Gabriel Somlo 7cfeb80
Gabriel Somlo 7cfeb80
* Wed Jan 29 2020 Fedora Release Engineering <releng@fedoraproject.org> - 0-0.8.20190821gitc192ba2
Gabriel Somlo 7cfeb80
- Rebuilt for https://fedoraproject.org/wiki/Fedora_32_Mass_Rebuild
Gabriel Somlo 7cfeb80
Gabriel L. Somlo 8326acd
* Wed Aug 21 2019 Gabriel Somlo <gsomlo@gmail.com> - 0-0.7.20190821gitc192ba2
Gabriel L. Somlo 8326acd
- Update to newer snapshot
Gabriel L. Somlo 8326acd
- Spec file: add 'snapdate' variable
Gabriel L. Somlo 8326acd
- Fix python 3.8 build (BZ #1743893)
Gabriel L. Somlo 8326acd
Gabriel L. Somlo 8326acd
* Mon Aug 19 2019 Miro HronĨok <mhroncok@redhat.com> - 0-0.6.20190415gitdb7e850
Gabriel L. Somlo 8326acd
- Rebuilt for Python 3.8
Gabriel L. Somlo 8326acd
Gabriel L. Somlo 8326acd
* Thu Jul 25 2019 Fedora Release Engineering <releng@fedoraproject.org> - 0-0.5.20190415gitdb7e850
Gabriel L. Somlo 8326acd
- Rebuilt for https://fedoraproject.org/wiki/Fedora_31_Mass_Rebuild
Gabriel L. Somlo 8326acd
Gabriel L. Somlo 204dbb8
* Mon Apr 15 2019 Gabriel Somlo <gsomlo@gmail.com> - 0-0.4.20190415gitdb7e850
Gabriel L. Somlo 8326acd
- Update to newer snapshot
Gabriel L. Somlo 204dbb8
Gabriel L. Somlo 1787b6a
* Mon Apr 01 2019 Gabriel Somlo <gsomlo@gmail.com> - 0-0.3.20190401gitd27ec2c
Gabriel L. Somlo 8326acd
- Update to snapshot with fast HeAP-based analytical placer
Gabriel L. Somlo f83f097
- Package included ice40, ecp5 example projects as documentation
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
* Thu Mar 21 2019 Lubomir Rintel <lkundrak@v3.sk> - 0-0.2.20190319gitcadbf42
Gabriel L. Somlo f83f097
- Enable ecp5
Gabriel L. Somlo f83f097
Gabriel L. Somlo f83f097
* Tue Mar 19 2019 Lubomir Rintel <lkundrak@v3.sk> - 0-0.1.20190319gitcadbf42
Gabriel L. Somlo f83f097
- Initial packaging