8bd6789 move constraints interfaces to domain module. move sysfs and usbfs to

Authored and Committed by Chris PeBenito 19 years ago
28 files changed. 310 lines added. 310 lines removed.
refpolicy/policy/modules/admin/dmesg.te
file modified
+1 -1
refpolicy/policy/modules/admin/rpm.te
file modified
+2 -2
refpolicy/policy/modules/admin/usermanage.te
file modified
+5 -5
refpolicy/policy/modules/kernel/devices.if
file modified
+173 -0
refpolicy/policy/modules/kernel/devices.te
file modified
+17 -0
refpolicy/policy/modules/kernel/kernel.if
file modified
+0 -236
refpolicy/policy/modules/kernel/kernel.te
file modified
+0 -22
refpolicy/policy/modules/services/cron.te
file modified
+1 -1
refpolicy/policy/modules/services/remotelogin.te
file modified
+3 -3
refpolicy/policy/modules/services/sendmail.te
file modified
+1 -1
refpolicy/policy/modules/system/authlogin.te
file modified
+1 -1
refpolicy/policy/modules/system/clock.te
file modified
+1 -1
refpolicy/policy/modules/system/domain.if
file modified
+63 -0
refpolicy/policy/modules/system/domain.te
file modified
+5 -0
refpolicy/policy/modules/system/getty.te
file modified
+1 -1
refpolicy/policy/modules/system/hostname.te
file modified
+1 -1
refpolicy/policy/modules/system/hotplug.te
file modified
+2 -2
refpolicy/policy/modules/system/init.te
file modified
+5 -5
refpolicy/policy/modules/system/iptables.te
file modified
+1 -1
refpolicy/policy/modules/system/locallogin.te
file modified
+6 -6
refpolicy/policy/modules/system/logging.te
file modified
+2 -2
refpolicy/policy/modules/system/lvm.te
file modified
+3 -3
refpolicy/policy/modules/system/modutils.te
file modified
+2 -2
refpolicy/policy/modules/system/selinux.te
file modified
+4 -4
refpolicy/policy/modules/system/selinuxutil.te
file modified
+4 -4
refpolicy/policy/modules/system/sysnetwork.te
file modified
+1 -1
refpolicy/policy/modules/system/udev.te
file modified
+2 -2
refpolicy/policy/modules/system/userdomain.if
file modified
+3 -3
    move constraints interfaces to domain module.  move sysfs and usbfs to
    devices module